Seguir
David Brooks
David Brooks
Haley Family Professor of Computer Science, Harvard University
E-mail confirmado em eecs.harvard.edu
Título
Citado por
Citado por
Ano
Wattch: A framework for architectural-level power analysis and optimizations
D Brooks, V Tiwari, M Martonosi
ACM SIGARCH Computer Architecture News 28 (2), 83-94, 2000
38722000
Dynamic thermal management for high-performance microprocessors
D Brooks, M Martonosi
Proceedings HPCA Seventh International Symposium on High-Performance …, 2001
11192001
System level analysis of fast, per-core DVFS using on-chip switching regulators
W Kim, MS Gupta, GY Wei, D Brooks
2008 IEEE 14th International Symposium on High Performance Computer …, 2008
10212008
Minerva: Enabling low-power, highly-accurate deep neural network accelerators
B Reagen, P Whatmough, R Adolf, S Rama, H Lee, SK Lee, ...
ACM SIGARCH Computer Architecture News 44 (3), 267-278, 2016
7412016
Applied machine learning at facebook: A datacenter infrastructure perspective
K Hazelwood, S Bird, D Brooks, S Chintala, U Diril, D Dzhulgakov, ...
2018 IEEE international symposium on high performance computer architecture …, 2018
7132018
Accurate and efficient regression modeling for microarchitectural performance and power prediction
BC Lee, DM Brooks
ACM SIGOPS operating systems review 40 (5), 185-194, 2006
6662006
Power-aware microarchitecture: Design and modeling challenges for next-generation microprocessors
DM Brooks, P Bose, SE Schuster, H Jacobson, PN Kudva, ...
IEEE Micro 20 (6), 26-44, 2000
6452000
Profiling a warehouse-scale computer
S Kanev, JP Darago, K Hazelwood, P Ranganathan, T Moseley, GY Wei, ...
Proceedings of the 42nd annual international symposium on computer …, 2015
5582015
Machine learning at facebook: Understanding inference at the edge
CJ Wu, D Brooks, K Chen, D Chen, S Choudhury, M Dukhan, ...
2019 IEEE international symposium on high performance computer architecture …, 2019
5282019
Dynamically exploiting narrow width operands to improve processor power and performance
D Brooks, M Martonosi
Proceedings Fifth International Symposium on High-Performance Computer …, 1999
4281999
Aladdin: A pre-rtl, power-performance accelerator simulator enabling large design space exploration of customized architectures
YS Shao, B Reagen, GY Wei, D Brooks
ACM SIGARCH Computer Architecture News 42 (3), 97-108, 2014
3942014
Thread motion: fine-grained power management for multi-core systems
KK Rangan, GY Wei, D Brooks
ACM SIGARCH Computer Architecture News 37 (3), 302-313, 2009
3582009
Sustainable ai: Environmental implications, challenges and opportunities
CJ Wu, R Raghavendra, U Gupta, B Acun, N Ardalani, K Maeng, G Chang, ...
Proceedings of Machine Learning and Systems 4, 795-813, 2022
3492022
Ares: A framework for quantifying the resilience of deep neural networks
B Reagen, U Gupta, L Pentecost, P Whatmough, SK Lee, N Mulholland, ...
Proceedings of the 55th Annual Design Automation Conference, 1-6, 2018
3402018
Benchmarking TPU, GPU, and CPU platforms for deep learning
YE Wang, GY Wei, D Brooks
arXiv preprint arXiv:1907.10701, 2019
3272019
MachSuite: Benchmarks for accelerator design and customized architectures
B Reagen, R Adolf, YS Shao, GY Wei, D Brooks
2014 IEEE International Symposium on Workload Characterization (IISWC), 110-119, 2014
3232014
Mlperf training benchmark
P Mattson, C Cheng, C Coleman, G Diamos, P Micikevicius, D Patterson, ...
Proceedings of Machine Learning and Systems (MLSys) 2020, 2019
3172019
A fully-integrated 3-level DC-DC converter for nanosecond-scale DVFS
W Kim, D Brooks, GY Wei
IEEE Journal of Solid-State Circuits 47 (1), 206-219, 2011
3152011
A dynamic compilation framework for controlling microprocessor energy and performance
Q Wu, VJ Reddi, Y Wu, J Lee, D Connors, D Brooks, M Martonosi, ...
38th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'05 …, 2005
2922005
The architectural implications of facebook's dnn-based personalized recommendation
U Gupta, CJ Wu, X Wang, M Naumov, B Reagen, D Brooks, B Cottel, ...
2020 IEEE International Symposium on High Performance Computer Architecture …, 2020
2902020
O sistema não pode executar a operação agora. Tente novamente mais tarde.
Artigos 1–20