Seguir
Murat Becer
Título
Citado por
Citado por
Ano
Analysis of noise avoidance techniques in DSM interconnects using a complete crosstalk noise model
MR Becer, D Blaauw, V Zolotov, R Panda, IN Hajj
Proceedings 2002 design, automation and test in Europe conference and …, 2002
852002
Noise propagation and failure criteria for VLSI designs
V Zolotov, D Blaauw, S Sirichotiyakul, M Becer, C Oh, R Panda, ...
Proceedings of the 2002 IEEE/ACM international conference on Computer-aided …, 2002
642002
Post-route gate sizing for crosstalk noise reduction
MR Becer, D Blaauw, I Algor, R Panda, C Oh, V Zolotov, IN Hajj
Proceedings of the 40th annual Design Automation Conference, 954-957, 2003
582003
Transistor level gate modeling for accurate and fast timing, noise, and power analysis
S Raja, F Varadi, M Becer, J Geada
Proceedings of the 45th annual Design Automation Conference, 456-461, 2008
412008
Early probabilistic noise estimation for capacitively coupled interconnects
MR Becer, D Blaauw, IN Hajj, R Panda
Proceedings of the 2002 international workshop on System-level interconnect …, 2002
372002
Pessimism reduction in crosstalk noise aware static timing analysis
MR Becer, I Algor, A Grinshpon, R Levy, C Oh, RV Panda, VP Zolotov
US Patent 7,251,797, 2007
352007
An analytical model for delay and crosstalk estimation with application to decoupling
M Becer, IN Hajj
Proceedings IEEE 2000 First International Symposium on Quality Electronic …, 2000
312000
Pessimism reduction in crosstalk noise aware STA
M Becer, V Zolotov, R Panda, A Grinshpon, I Algol, R Levy, C Oh
ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005 …, 2005
252005
Crosstalk noise control in an SoC physical design flow
M Becer, R Vaidyanathan, C Oh, R Panda
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2004
252004
Delay noise pessimism reduction by logic correlations
A Glebov, S Gavrilov, R Soloviev, V Zolotov, MR Becer, C Oh, R Panda
IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004 …, 2004
232004
SOI transistor model for fast transient simulation
D Nadezhin, S Gavrilov, A Glebov, Y Egorov, V Zolotov, D Blaauw, ...
ICCAD-2003. International Conference on Computer Aided Design (IEEE Cat. No …, 2003
212003
Noise analysis for an integrated circuit model
MR Becer, I Algor, RV Panda, DT Blaauw
US Patent 7,093,223, 2006
192006
Victim alignment in crosstalk-aware timing analysis
R Gandikota, K Chopra, D Blaauw, D Sylvester
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2010
132010
Signal integrity management in an SoC physical design flow
M Becer, R Vaidyanathan, C Oh, R Panda
Proceedings of the 2003 international symposium on Physical design, 39-46, 2003
132003
An analytical model for delay and crosstalk estimation in interconnects under general switching conditions
M Becer, IN Hajj
ICECS 2000. 7th IEEE International Conference on Electronics, Circuits and …, 2000
112000
A global driver sizing tool for functional crosstalk noise avoidance
MR Becer, D Blaauw, S Sirichotiyakul, R Levy, C Oh, V Zolotov, J Zuo, ...
Proceedings of the IEEE 2001. 2nd International Symposium on Quality …, 2001
92001
Static electromigration analysis for signal interconnects
C Oh, D Blaauw, M Becer, V Zolotov, R Panda, A Dasgupta
Fourth International Symposium on Quality Electronic Design, 2003 …, 2003
62003
Pre-route noise estimation in deep submicron integrated circuits
MR Becer, D Blaauw, R Panda, IN Hajj
Proceedings International Symposium on Quality Electronic Design, 413-418, 2002
62002
System and method for hybrid cloud computing for electronic design automation
A Odabasi, M Becer, M Yazgan, L Yin, J Lee
US Patent App. 14/733,782, 2015
52015
Multi-engine static analysis
MR Becer, JM Geada, L La France, N Rethman, Q Shen
US Patent 7,793,243, 2010
42010
O sistema não pode executar a operação agora. Tente novamente mais tarde.
Artigos 1–20