Follow
Koen Bertels
Koen Bertels
QBee.eu, professor University of Ghent
Verified email at qbee.eu - Homepage
Title
Cited by
Cited by
Year
A survey and evaluation of FPGA high-level synthesis tools
R Nane, VM Sima, C Pilato, J Choi, B Fort, A Canis, YT Chen, H Hsiao, ...
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2015
7292015
The MOLEN polymorphic processor
S Vassiliadis, S Wong, G Gaydadjiev, K Bertels, G Kuzmanov, ...
IEEE transactions on computers 53 (11), 1363-1375, 2004
5142004
Memristor based computation-in-memory architecture for data-intensive applications
S Hamdioui, L Xie, HA Du Nguyen, M Taouil, K Bertels, H Corporaal, ...
2015 Design, Automation & Test in Europe Conference & Exhibition (DATE …, 2015
2302015
Scalable quantum circuit and control for a superconducting surface code
R Versluis, S Poletto, N Khammassi, B Tarasinski, N Haider, DJ Michalak, ...
Physical Review Applied 8 (3), 034021, 2017
2042017
DWARV: Delftworkbench automated reconfigurable VHDL generator
Y Yankova, G Kuzmanov, K Bertels, G Gaydadjiev, Y Lu, S Vassiliadis
2007 International conference on field programmable logic and applications …, 2007
1542007
A survey of autonomic computing systems
MR Nami, K Bertels
Third international conference on autonomic and autonomous systems (ICAS'07 …, 2007
1542007
Memristor for computing: Myth or reality?
S Hamdioui, S Kvatinsky, G Cauwenberghs, L Xie, N Wald, S Joshi, ...
Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017 …, 2017
1342017
Comparing neural network based decoders for the surface code
S Varsamopoulos, K Bertels, CG Almudever
IEEE Transactions on Computers 69 (2), 300-311, 2019
1302019
Hardware acceleration of BWA-MEM genomic short read mapping for longer read lengths
EJ Houtgast, VM Sima, K Bertels, Z Al-Ars
Computational biology and chemistry 75, 54-64, 2018
1262018
QX: A high-performance quantum computer simulation platform
N Khammassi, I Ashraf, X Fu, CG Almudever, K Bertels
Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017 …, 2017
1262017
DWARV 2.0: A CoSy-based C-to-VHDL hardware compiler
R Nane, VM Sima, B Olivier, R Meeuws, Y Yankova, K Bertels
22nd International Conference on Field Programmable Logic and Applications …, 2012
1262012
An experimental microarchitecture for a superconducting quantum processor
X Fu, MA Rol, CC Bultink, J Van Someren, N Khammassi, I Ashraf, ...
Proceedings of the 50th Annual IEEE/ACM International Symposium on …, 2017
1202017
Fast boolean logic mapped on memristor crossbar
L Xie, HA Du Nguyen, M Taouil, S Hamdioui, K Bertels
2015 33rd IEEE International Conference on Computer Design (ICCD), 335-342, 2015
1182015
The engineering challenges in quantum computing
CG Almudever, L Lao, X Fu, N Khammassi, I Ashraf, D Iorga, ...
Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017 …, 2017
1142017
A survey of peer-to-peer networks
B Pourebrahimi, K Bertels, S Vassiliadis
Proceedings of the 16th annual workshop on Circuits, Systems and Signal …, 2005
1142005
Decoding small surface codes with feedforward neural networks
S Varsamopoulos, B Criger, K Bertels
Quantum Science and Technology 3 (1), 015004, 2017
1122017
Morpheus: Heterogeneous reconfigurable computing
F Thoma, M Kuhnle, P Bonnot, EM Panainte, K Bertels, S Goller, ...
2007 International conference on field programmable logic and applications …, 2007
912007
The instruction-set extension problem: A survey
C Galuzzi, K Bertels
International Workshop on Applied Reconfigurable Computing, 209-220, 2008
892008
eQASM: An executable quantum instruction set architecture
X Fu, L Riesebos, MA Rol, J Van Straten, J Van Someren, N Khammassi, ...
2019 IEEE International Symposium on High Performance Computer Architecture …, 2019
872019
The molen compiler for reconfigurable processors
EM Panainte, K Bertels, S Vassiliadis
ACM Transactions on Embedded Computing Systems (TECS) 6 (1), 6-es, 2007
862007
The system can't perform the operation now. Try again later.
Articles 1–20