Seguir
Luigi Dilillo
Luigi Dilillo
E-mail confirmado em umontpellier.fr - Página inicial
Título
Citado por
Citado por
Ano
Advanced test methods for SRAMs: effective solutions for dynamic fault detection in nanoscaled technologies
A Bosio, L Dilillo, P Girard, S Pravossoudovitch, A Virazel
Springer Science & Business Media, 2009
97*2009
Dynamic read destructive fault in embedded-SRAMs: analysis and march test solution
L Dilillo, P Girard, S Pravossoudovitch, A Virazel, S Borri, M Hage-Hassan
Proceedings. Ninth IEEE European Test Symposium, 2004. ETS 2004., 140-145, 2004
892004
A study of tapered 3-D TSVs for power and thermal integrity
A Todri, S Kundu, P Girard, A Bosio, L Dilillo, A Virazel
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 21 (2), 306-319, 2012
882012
Resistive-open defects in embedded-SRAM core cells: analysis and march test solution
L Dilillo, P Girard, S Pravossoudovitch, A Virazel, S Borri, M Hage-Hassan
13th Asian test symposium, 266-271, 2004
832004
Multiple cell upset classification in commercial SRAMs
G Tsiligiannis, L Dilillo, A Bosio, P Girard, S Pravossoudovitch, A Todri, ...
IEEE Transactions on Nuclear Science 61 (4), 1747-1754, 2014
682014
Determining realistic parameters for the double exponential law that models transient current pulses
F Wrobel, L Dilillo, AD Touboul, V Pouget, F Saigné
IEEE Transactions on Nuclear Science 61 (4), 1813-1818, 2014
582014
Neutron-induced failure in silicon IGBTs, silicon super-junction and SiC MOSFETs
A Griffoni, J van Duivenbode, D Linten, E Simoen, P Rech, L Dilillo, ...
IEEE Transactions on Nuclear Science 59 (4), 866-871, 2012
532012
Testing a commercial MRAM under neutron and alpha radiation in dynamic mode
G Tsiligiannis, L Dilillo, A Bosio, P Girard, A Todri, A Virazel, SS McClure, ...
IEEE Transactions on Nuclear Science 60 (4), 2617-2622, 2013
492013
Analysis of dynamic faults in embedded-SRAMs: Implications for memory test
S Borri, M Hage-Hassan, L Dilillo, P Girard, S Pravossoudovitch, A Virazel
Journal of Electronic Testing 21, 169-179, 2005
462005
Data retention fault in SRAM memories: Analysis and detection procedures
L Dilillo, P Girard, S Pravossoudovitch, A Virazel, MB Hage-Hassan
23rd IEEE VLSI Test Symposium (VTS'05), 183-188, 2005
452005
Efficient march test procedure for dynamic read destructive fault detection in SRAM memories
L Dilillo, P Girard, S Pravossoudovitch, A Virazel, S Borri, M Hage-Hassan
Journal of Electronic Testing 21 (5), 551-561, 2005
422005
A low-cost fault-tolerant RISC-V processor for space systems
DA Santos, LM Luza, CA Zeferino, L Dilillo, DR Melo
2020 15th Design & Technology of Integrated Systems in Nanoscale Era (DTIS), 1-5, 2020
382020
Dynamic test methods for COTS SRAMs
G Tsiligiannis, L Dilillo, V Gupta, A Bosio, P Girard, A Virazel, H Puchner, ...
IEEE Transactions on Nuclear Science 61 (6), 3095-3102, 2014
382014
Resistive-open defect injection in SRAM core-cell: analysis and comparison between 0.13 μm and 90 nm technologies
L Dilillo, P Girard, S Pravossoudovitch, A Virazel, M Bastian
Proceedings of the 42nd annual Design Automation Conference, 857-862, 2005
352005
A functional power evaluation flow for defining test power limits during at-speed delay testing
M Valka, A Bosio, L Dilillo, P Girard, S Pravossoudovitch, A Virazel, ...
2011 Sixteenth IEEE European Test Symposium, 153-158, 2011
332011
March iC-: an improved version of March C-for ADOFs detection
L Dilillo, P Girard, S Pravossoudovitch, A Virazel, S Borri
22nd IEEE VLSI Test Symposium, 2004. Proceedings., 129-134, 2004
332004
Comparison of open and resistive-open defect test conditions in SRAM address decoders
Dilillo, Girard, Pravossoudovitch, Virazel, Borri
2003 Test Symposium, 250-255, 2003
312003
Exploring the impact of functional test programs re-used for power-aware testing
A Touati, A Bosio, L Dilillo, P Girard, A Virazel, P Bernardi, MS Reorda
2015 Design, Automation & Test in Europe Conference & Exhibition (DATE …, 2015
292015
Analysis of resistive-bridging defects in SRAM core-cells: A comparative study from 90nm down to 40nm technology nodes
RA Fonseca, L Dilillo, A Bosio, P Girard, S Pravossoudovitch, A Virazel, ...
2010 15th IEEE European Test Symposium, 132-137, 2010
292010
Resistive-open defect influence in SRAM pre-charge circuits: analysis and characterization
L Dilillo, P Girard, S Pravossoudovitch, A Virazel, MB Hage-Hassan
European Test Symposium (ETS'05), 116-121, 2005
282005
O sistema não pode executar a operação agora. Tente novamente mais tarde.
Artigos 1–20