Follow
Jose Duato
Title
Cited by
Cited by
Year
Interconnection networks
J Duato, S Yalamanchili, L Ni
Morgan Kaufmann, 2003
33082003
A new theory of deadlock-free adaptive routing in wormhole networks
J Duato
IEEE Transactions on Parallel and Distributed Systems 4 (12), 1320 - 1331, 1993
11891993
A necessary and sufficient condition for deadlock-free adaptive routing in wormhole networks
J Duato
IEEE Transactions on Parallel and Distributed Systems 6 (10), 1055-1067, 1995
5381995
rCUDA: Reducing the number of GPU-based accelerators in high performance clusters
J Duato, AJ Pena, F Silla, R Mayo, ES Quintana-Ortí
2010 International Conference on High Performance Computing & Simulation …, 2010
3982010
Increasing the effectiveness of directory caches by deactivating coherence for private memory blocks
BA Cuesta, A Ros, ME Gómez, A Robles, JF Duato
Proceedings of the 38th annual international symposium on Computer …, 2011
2152011
A necessary and sufficient condition for deadlock-free routing in cut-through and store-and-forward networks
J Duato
IEEE Transactions on Parallel and Distributed Systems 7 (8), 841-854, 1996
2031996
Deterministic versus adaptive routing in fat-trees
C Gomez, F Gilabert, ME Gomez, P López, J Duato
2007 IEEE International Parallel and Distributed Processing Symposium, 1-8, 2007
2022007
A theory of fault-tolerant routing in wormhole networks
J Duato
IEEE Transactions on Parallel and Distributed Systems 8 (8), 790-802, 1997
1861997
Segment-based routing: An efficient fault-tolerant routing algorithm for meshes and tori
A Mejia, J Flich, J Duato, SA Reinemo, T Skeie
Proceedings 20th IEEE International Parallel & Distributed Processing …, 2006
1722006
A new scalable and cost-effective congestion management strategy for lossless multistage interconnection networks
J Duato, I Johnson, J Flich, F Naven, P Garcia, T Nachiondo
11th International Symposium on High-Performance Computer Architecture, 108-119, 2005
1662005
On the design of deadlock-free adaptive routing algorithms for multicomputers: design methodologies
J Duato
Parle’91 Parallel Architectures and Languages Europe: Volume I: Parallel …, 1991
1481991
Adaptive bubble router: a design to improve performance in torus networks
V Puente, R Beivide, JA Gregorio, JM Prellezo, J Duato, C Izu
Proceedings of the 1999 International Conference on Parallel Processing, 58-67, 1999
1371999
A complete and efficient CUDA-sharing solution for HPC clusters
AJ Pena, C Reaño, F Silla, R Mayo, ES Quintana-Ortí, J Duato
Parallel Computing 40 (10), 574-588, 2014
1342014
An efficient implementation of tree-based multicast routing for distributed shared-memory multiprocessors
MP Malumbres, J Duato, J Torrellas
Proceedings of SPDP'96: 8th IEEE Symposium on Parallel and Distributed …, 1996
1271996
A survey and evaluation of topology-agnostic deterministic routing algorithms
J Flich, T Skeie, A Mejia, O Lysne, P Lopez, A Robles, J Duato, ...
IEEE Transactions on Parallel and Distributed Systems 23 (3), 405-425, 2011
1262011
A general theory for deadlock-free adaptive routing using a mixed set of resources
J Duato, TM Pinkston
IEEE Transactions on Parallel and Distributed Systems 12 (12), 1219-1235, 2001
1222001
Efficient unicast and multicast support for CMPs
S Rodrigo, J Flich, J Duato, M Hummel
2008 41st IEEE/ACM International Symposium on Microarchitecture, 364-375, 2008
1202008
A routing methodology for achieving fault tolerance in direct networks
ME Gomez, NA Nordbotten, J Flich, P Lopez, A Robles, J Duato, T Skeie, ...
IEEE transactions on Computers 55 (4), 400-415, 2006
1172006
Addressing manufacturing challenges with cost-efficient fault tolerant routing
S Rodrigo, J Flich, A Roca, S Medardoni, D Bertozzi, J Camacho, F Silla, ...
2010 Fourth ACM/IEEE International Symposium on Networks-on-Chip, 25-32, 2010
1162010
A family of mechanisms for congestion control in wormhole networks
E Baydal, P Lopez, J Duato
IEEE Transactions on Parallel and Distributed Systems 16 (9), 772-784, 2005
1152005
The system can't perform the operation now. Try again later.
Articles 1–20