Follow
Joshua Mack
Title
Cited by
Cited by
Year
DS3: A System-Level Domain-Specific System-on-Chip Simulation Framework
SE Arda, A Krishnakumar, AA Goksoy, N Kumbhare, J Mack, AL Sartor, ...
IEEE Transactions on Computers 69 (8), 1248-1262, 2020
452020
Performant, Multi-Objective Scheduling of Highly Interleaved Task Graphs on Heterogeneous System on Chip Devices
J Mack, SE Arda, UY Ogras, A Akoglu
IEEE Transactions on Parallel and Distributed Systems 33 (9), 2148-2162, 2021
202021
CEDR: A Compiler-integrated, Extensible DSSoC Runtime
J Mack, S Hassan, N Kumbhare, M Castro Gonzalez, A Akoglu
ACM Transactions on Embedded Computing Systems 22 (2), 1-34, 2023
192023
User-Space Emulation Framework for Domain-Specific SoC Design
J Mack, N Kumbhare, NK Anish, UY Ogras, A Akoglu
2020 IEEE International Parallel and Distributed Processing Symposium …, 2020
182020
RANC: Reconfigurable architecture for neuromorphic computing
J Mack, R Purdy, K Rockowitz, M Inouye, E Richter, S Valancius, ...
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2020
172020
Floating point CORDIC-based architecture for powering computation
J Mack, S Bellestri, D Llamocca
2015 International Conference on ReConFigurable Computing and FPGAs …, 2015
82015
FPGA Based Emulation Environment for Neuromorphic Architectures
S Valancius, E Richter, R Purdy, K Rockowitz, M Inouye, J Mack, ...
2020 IEEE International Parallel and Distributed Processing Symposium …, 2020
62020
A simulation framework for domain-specific system-on-chips: work-in-progress
SE Arda, A NK, AA Goksoy, J Mack, N Kumbhare, AL Sartor, A Akoglu, ...
Proceedings of the International Conference on Hardware/Software Codesign …, 2019
62019
Runtime Strategies and Task Scheduling of Software-Defined Radio on Heterogeneous Hardware
J Mack, A Akoglu
Talk at LLVM Devroom, 2021 Free and Open-source Software Developers …, 2021
52021
Automating Programming and Development of Heterogeneous SoCs with LLVM Tools
J Mack, N Kumbhare, A Akoglu
Talk at LLVM Devroom, 2020 Free and Open-source Software Developers …, 2020
52020
Design of High Throughput FPGA-Based Testbed for Accelerating Error Characterization of LDPC Codes
B Unal, MS Hassan, J Mack, N Kumbhare, A Akoglu
2019 International Conference on ReConFigurable Computing and FPGAs …, 2019
52019
GNU Radio and CEDR: Runtime Scheduling to Heterogeneous Accelerators
J Mack, S Gener, A Akoglu, J Holtom, A Chiriyath, C Chakrabarti, D Bliss, ...
Proceedings of the GNU Radio Conference 7 (1), 2022
42022
Enabling Software-Defined RF Convergence with a Novel Coarse-Scale Heterogeneous Processor
DW Bliss, T Ajayi, A Akoglu, I Aliyev, T Basaklar, L Belayneh, D Blaauw, ...
2022 IEEE International Symposium on Circuits and Systems (ISCAS), 443-447, 2022
22022
Accelerated Shadow Detection and Removal Method
E Richter, R Raettig, J Mack, S Valancius, B Unal, A Akoglu
2019 IEEE/ACS 16th International Conference on Computer Systems and …, 2019
22019
CORDIC-based Architecture for Powering Computation in Fixed-Point Arithmetic
N Simmonds, J Mack, S Bellestri, D Llamocca
arXiv preprint arXiv:1605.03229, 2016
22016
CEDR-API: Productive, Performant Programming of Domain-Specific Embedded Systems
J Mack, S Gener, S Hassan, HU Suluhan, A Akoglu
2023 IEEE International Parallel and Distributed Processing Symposium …, 2023
12023
A Hardware-based HEFT Scheduler Implementation for Dynamic Workloads on Heterogeneous SoCs
A Fusco, S Hassan, J Mack, A Akoglu
2022 IFIP/IEEE 30th International Conference on Very Large Scale Integration …, 2022
12022
User-space emulation framework for heterogeneous soc design
U Ogras, R Marculescu, A Akoglu, C Chakrabarti, D Bliss, SE Arda, ...
US Patent App. 18/249,885, 2024
2024
Runtime task scheduling using imitation learning for heterogeneous many-core systems
U Ogras, R Marculescu, A Akoglu, C Chakrabarti, D Bliss, SE Arda, ...
US Patent App. 18/249,851, 2023
2023
Hilite: hierarchical and lightweight imitation learning for power management of embedded SoCs
U Ogras, R Marculescu, A Akoglu, C Chakrabarti, D Bliss, SE Arda, ...
US Patent App. 18/249,876, 2023
2023
The system can't perform the operation now. Try again later.
Articles 1–20