Seguir
Christian Pilato
Christian Pilato
Associate Professor, Politecnico di Milano
E-mail confirmado em polimi.it - Página inicial
Título
Citado por
Citado por
Ano
A survey and evaluation of FPGA high-level synthesis tools
R Nane, VM Sima, C Pilato, J Choi, B Fort, A Canis, YT Chen, H Hsiao, ...
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2015
7292015
Ant colony heuristic for mapping and scheduling tasks and communications on heterogeneous embedded systems
F Ferrandi, PL Lanzi, C Pilato, D Sciuto, A Tumeo
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2010
2062010
Bambu: A modular framework for the high level synthesis of memory-intensive applications
C Pilato, F Ferrandi
2013 23rd International conference on field programmable logic and …, 2013
2002013
Agile SoC development with open ESP
P Mantovani, D Giri, G Di Guglielmo, L Piccolboni, J Zuckerman, EG Cota, ...
Proceedings of the 39th International Conference on Computer-Aided Design, 1-9, 2020
1012020
Securing hardware accelerators: A new challenge for high-level synthesis
C Pilato, S Garg, K Wu, R Karri, F Regazzoni
IEEE Embedded Systems Letters 10 (3), 77-80, 2017
872017
TAO: Techniques for algorithm-level obfuscation during high-level synthesis
C Pilato, F Regazzoni, R Karri, S Garg
Proceedings of the 55th Annual Design Automation Conference, 1-6, 2018
642018
Bambu: an open-source research framework for the high-level synthesis of complex applications
F Ferrandi, VG Castellana, S Curzel, P Fezzardi, M Fiorito, M Lattuada, ...
2021 58th ACM/IEEE Design Automation Conference (DAC), 1327-1330, 2021
622021
A multi-objective genetic algorithm for design space exploration in high-level synthesis
F Ferrandi, PL Lanzi, D Loiacono, C Pilato, D Sciuto
2008 IEEE Computer Society Annual Symposium on VLSI, 417-422, 2008
572008
ASSURE: RTL locking against an untrusted foundry
C Pilato, AB Chowdhury, D Sciuto, S Garg, R Karri
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 29 (7 …, 2021
512021
CAD-Base: An attack vector into the electronics supply chain
K Basu, SM Saeed, C Pilato, M Ashraf, MT Nabeel, K Chakrabarty, R Karri
ACM Transactions on Design Automation of Electronic Systems (TODAES) 24 (4 …, 2019
462019
An FPGA-based infrastructure for fine-grained DVFS analysis in high-performance embedded systems
P Mantovani, EG Cota, K Tien, C Pilato, G Di Guglielmo, K Shepard, ...
Proceedings of the 53rd Annual Design Automation Conference, 1-6, 2016
462016
TaintHLS: High-level synthesis for dynamic information flow tracking
C Pilato, K Wu, S Garg, R Karri, F Regazzoni
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2018
442018
System-level optimization of accelerator local memory for heterogeneous systems-on-chip
C Pilato, P Mantovani, G Di Guglielmo, LP Carloni
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2016
432016
Ant colony optimization for mapping and scheduling in heterogeneous multiprocessor systems
A Tumeo, C Pilato, F Ferrandi, D Sciuto, PL Lanzi
2008 International Conference on Embedded Computer Systems: Architectures …, 2008
412008
Hartes: Hardware-software codesign for heterogeneous multicore platforms
K Bertels, VM Sima, Y Yankova, G Kuzmanov, W Luk, G Coutinho, ...
IEEE micro 30 (5), 88-97, 2010
382010
A design methodology to implement memory accesses in high-level synthesis
C Pilato, F Ferrandi, D Sciuto
Proceedings of the seventh IEEE/ACM/IFIP international conference on …, 2011
362011
Handling large data sets for high-performance embedded applications in heterogeneous systems-on-chip
P Mantovani, EG Cota, C Pilato, G Di Guglielmo, LP Carloni
Proceedings of the International Conference on Compilers, Architectures and …, 2016
352016
Mapping and scheduling of parallel C applications with ant colony optimization onto heterogeneous reconfigurable MPSoCs
F Ferrandi, C Pilato, D Sciuto, A Tumeo
2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC), 799-804, 2010
332010
Ant colony optimization for mapping, scheduling and placing in reconfigurable systems
F Ferrandi, PL Lanzi, C Pilato, D Sciuto, A Tumeo
2013 NASA/ESA Conference on Adaptive Hardware and Systems (AHS-2013), 47-54, 2013
322013
Improving evolutionary exploration to area-time optimization of FPGA designs
C Pilato, A Tumeo, G Palermo, F Ferrandi, PL Lanzi, D Sciuto
Journal of Systems Architecture 54 (11), 1046-1057, 2008
302008
O sistema não pode executar a operação agora. Tente novamente mais tarde.
Artigos 1–20