Seguir
Rajendran Panda
Rajendran Panda
Director, Physical Design EDA, Oracle
E-mail confirmado em oracle.com - Página inicial
Título
Citado por
Citado por
Ano
Hierarchical analysis of power distribution networks
M Zhao, RV Panda, SS Sapatnekar, T Edwards, R Chaudhry, D Blaauw
Proceedings of the 37th Annual Design Automation Conference, 150-155, 2000
4562000
Design and analysis of power distribution networks in PowerPC microprocessors
A Dharchoudhury, R Panda, D Blaauw, R Vaidyanathan, B Tutuianu, ...
Proceedings of the 35th annual Design Automation Conference, 738-743, 1998
2491998
Statistical delay computation considering spatial correlations
A Agarwal, D Blaauw, V Zolotov, S Sundareswaran, M Zhao, K Gala, ...
Proceedings of the 2003 Asia and South Pacific Design Automation Conference …, 2003
2012003
Stand-by power minimization through simultaneous threshold voltage selection and circuit sizing
S Sirichotiyakul, T Edwards, C Oh, J Zuo, A Dharchoudhury, R Panda, ...
Proceedings of the 36th annual ACM/IEEE Design Automation Conference, 436-441, 1999
1981999
Duet: An accurate leakage estimation and optimization tool for dual-V/sub t/circuits
S Sirichotiyakul, T Edwards, C Oh, R Panda, D Blaauw
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 10 (2), 79-90, 2002
1412002
Path-based statistical timing analysis considering inter-and intra-die correlations
A Agarwal, D Blaauw, V Zolotov, S Sundareswaran, M Zhao, K Gala, ...
Proc. TAU, 16-21, 2002
1272002
On-chip inductance modeling and analysis
K Gala, V Zolotov, R Panda, B Young, J Wang, D Blaauw
Proceedings of the 37th Annual Design Automation Conference, 63-68, 2000
1072000
Model and analysis for combined package and on-chip power grid simulation
R Panda, D Blaauw, R Chaudhry, V Zolotov, B Young, R Ramaraju
Proceedings of the 2000 international symposium on Low power electronics and …, 2000
1062000
Vectorless analysis of supply noise induced delay variation
S Pant, D Blaauw, V Zolotov, S Sundareswaran, R Panda
ICCAD-2003. International Conference on Computer Aided Design (IEEE Cat. No …, 2003
852003
Analysis of noise avoidance techniques in DSM interconnects using a complete crosstalk noise model
MR Becer, D Blaauw, V Zolotov, R Panda, IN Hajj
Proceedings 2002 design, automation and test in Europe conference and …, 2002
852002
Library-less synthesis for static CMOS combinational logic circuits
Gavrilov, Glebov, Pullela, Dharchoudhury, Panda, Vijayan, Blaauw
1997 Proceedings of IEEE International Conference on Computer Aided Design …, 1997
711997
A stochastic approach to power grid analysis
S Pant, D Blaauw, V Zolotov, S Sundareswaran, R Panda
Proceedings of the 41st annual Design Automation Conference, 171-176, 2004
642004
Noise propagation and failure criteria for VLSI designs
V Zolotov, D Blaauw, S Sirichotiyakul, M Becer, C Oh, R Panda, ...
Proceedings of the 2002 IEEE/ACM international conference on Computer-aided …, 2002
642002
Stochastic power grid analysis considering process variations
P Ghanta, S Vrudhula, R Panda, J Wang
Design, Automation and Test in Europe, 964-969, 2005
632005
Post-route gate sizing for crosstalk noise reduction
MR Becer, D Blaauw, I Algor, R Panda, C Oh, V Zolotov, IN Hajj
Proceedings of the 40th annual Design Automation Conference, 954-957, 2003
582003
Fast simulation of circuitry having SOI transistors
VP Zolotov, RV Panda, SV Gavrilov, AL Glebov, YB Egorov, DY Nadexhin
US Patent 7,127,384, 2006
552006
Optimal placement of power supply pads and pins
M Zhao, Y Fu, V Zolotov, S Sundareswaran, R Panda
Proceedings of the 41st annual Design Automation Conference, 165-170, 2004
532004
Removing user specified false paths from timing graphs
D Blaauw, R Panda, A Das
Proceedings of the 37th Annual Design Automation Conference, 270-273, 2000
422000
Characterization of standard cells for intra-cell mismatch variations
S Sundareswaran, JA Abraham, R Panda, A Ardelea
IEEE Transactions on Semiconductor Manufacturing 22 (1), 40-49, 2009
382009
Current signature compression for IR-drop analysis
R Chaudhry, D Blaauw, R Panda, T Edwards
Proceedings of the 37th Annual Design Automation Conference, 162-167, 2000
382000
O sistema não pode executar a operação agora. Tente novamente mais tarde.
Artigos 1–20