Follow
Stephen A. Edwards
Stephen A. Edwards
Verified email at cs.columbia.edu - Homepage
Title
Cited by
Cited by
Year
The synchronous languages 12 years later
A Benveniste, P Caspi, SA Edwards, N Halbwachs, P Le Guernic, ...
Proceedings of the IEEE 91 (1), 64-83, 2003
14172003
VIS: A system for verification and synthesis
RK Brayton, GD Hachtel, A Sangiovanni-Vincentelli, F Somenzi, A Aziz, ...
Computer Aided Verification: 8th International Conference, CAV'96 New …, 1996
9651996
Design of embedded systems: Formal models, validation, and synthesis
S Edwards, L Lavagno, EA Lee, A Sangiovanni-Vincentelli
Proceedings of the IEEE 85 (3), 366-390, 1997
8111997
The case for the precision timed (PRET) machine
SA Edwards, EA Lee
Proceedings of the 44th annual Design Automation Conference, 264-265, 2007
3282007
Galois theory
SA Edwards, O Tardieu
3261984
Compiling esterel
D Potop-Butucaru, SA Edwards, G Berry
Springer, 2007
2382007
Predictable programming on a precision timed architecture
B Lickly, I Liu, S Kim, HD Patel, SA Edwards, EA Lee
Proceedings of the 2008 international conference on Compilers, architectures …, 2008
2242008
SHIM: A Deterministic Model for Heterogeneous Embedded Systems
SA Edwards, O Tardieu
Proceedings of the International Conference on Embedded Software (Emsoft …, 2005
1512005
The challenges of synthesizing hardware from C-like languages
SA Edwards
IEEE Design & Test of Computers 23 (5), 375-386, 2006
1472006
The semantics and execution of a synchronous block-diagram language
SA Edwards, EA Lee
Science of Computer Programming 48 (1), 21-42, 2003
1372003
The challenges of hardware synthesis from C-like languages
SA Edwards
Design, Automation and Test in Europe, 66-67, 2005
1202005
The specification and execution of heterogeneous synchronous reactive systems
SA Edwards
University of California, Berkeley, 1997
1141997
An Esterel compiler for large control-dominated systems
SA Edwards
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2002
1052002
Compiling Esterel into sequential code
SA Edwards
Proceedings of the seventh international workshop on Hardware/software …, 1999
941999
Languages for Digital Embedded Systems
SA Edwards
Springer Science & Business Media, 2012
852012
Incremental algorithms for inter-procedural analysis of safety properties
CL Conway, KS Namjoshi, D Dams, SA Edwards
Computer Aided Verification: 17th International Conference, CAV 2005 …, 2005
842005
Tutorial: Compiling concurrent languages for sequential processors
SA Edwards
ACM Transactions on Design Automation of Electronic Systems (TODAES) 8 (2 …, 2003
812003
NDL: a domain-specific language for device drivers
CL Conway, SA Edwards
ACM Sigplan Notices 39 (7), 30-36, 2004
762004
Code generation in the Columbia Esterel compiler
SA Edwards, J Zeng
EURASIP Journal on Embedded Systems 2007, 1-31, 2007
742007
Scheduling-independent threads and exceptions in SHIM
O Tardieu, SA Edwards
Proceedings of the 6th ACM & IEEE International conference on Embedded …, 2006
652006
The system can't perform the operation now. Try again later.
Articles 1–20