Follow
Jinho Han
Jinho Han
Electronics and telecommunications research institute
Verified email at kaist.ac.kr
Title
Cited by
Cited by
Year
Performance Analysis for MPEG‐4 Video Codec Based on On‐Chip Network
JY Chang, WJ Kim, YH Bae, JH Han, HJ Cho, HB Jung
ETRI journal 27 (5), 497-503, 2005
222005
Application specific processor design for H. 264 decoder with a configurable embedded processor
JH Han, MY Lee, Y Bae, H Cho
ETRI journal 27 (5), 491-496, 2005
212005
Function-safe vehicular ai processor with nano core-in-memory architecture
Y Kwon, J Yang, YP Cho, KS Shin, J Chung, J Han, CG Lyuh, HM Kim, ...
2019 IEEE International Conference on Artificial Intelligence Circuits and …, 2019
172019
40‐TFLOPS artificial intelligence processor with function‐safe programmable many‐cores for ISO26262 ASIL‐D
J Han, M Choi, Y Kwon
ETRI Journal 42 (4), 468-479, 2020
152020
A fault-tolerant cache system of automotive vision processor complying with ISO26262
J Han, Y Kwon, K Byun, HJ Yoo
IEEE Transactions on Circuits and Systems II: Express Briefs 63 (12), 1146-1150, 2016
122016
AB9: A neural processor for inference acceleration
YCP Cho, J Chung, J Yang, CG Lyuh, HM Kim, C Kim, J Ham, M Choi, ...
ETRI Journal 42 (4), 491-504, 2020
82020
A 1GHz fault tolerant processor with dynamic lockstep and self-recovering cache for ADAS SoC complying with ISO26262 in automotive electronics
J Han, Y Kwon, YCP Cho, HJ Yoo
2017 IEEE Asian Solid-State Circuits Conference (A-SSCC), 313-316, 2017
82017
A 40MHZ dedicated hardware H. 264/AVC video encoder with the reducing memory access scheme
S Lee, S Park, J Han, N Eum, J Park
2008 IEEE International Symposium on Consumer Electronics, 1-4, 2008
62008
One chip-low power digital-TCXO with sub-ppm accuracy
SJ Lee, JH Han, SH Hank, JH Lee, JS Kim, MK Je, HJ Yoo
2000 IEEE International Symposium on Circuits and Systems (ISCAS) 3, 17-20, 2000
62000
Chiplet Heterogeneous-Integration AI Processor
Y Kwon, J Han, YP Cho, J Kim, J Chung, J Choi, S Park, I Kim, H Kwon, ...
2023 International Conference on Electronics, Information, and Communication …, 2023
32023
80 μW/MHz, 850 MHz Fault Tolerant Processor with Fault Monitor Systems
J Han, Y Kwon, K Shin, HJ Yoo
Journal of Semiconductor Technology and Science 17 (5), 627-635, 2017
32017
80μW/MHz 0.68 V Ultra Low-Power Variation-Tolerant Superscalar Dual-Core Application Processor
Y Kwon, JJ Lee, KS Shin, JH Han, KJ Byun, NW Eum
IEIE Transactions on Smart Processing and Computing 4 (2), 71-77, 2015
32015
Special issue on SoC and AI processors
H Kim, M Lee, J Park, Y Cha
ETRI Journal 42 (4), 465-467, 2020
22020
Implementation and verification of CAN 2.0 A, B, FD Integrated IP Based on Fault-Tolerant Vehicle Processor
J Yang, Y Kwon, KS Shin, JH Han
The Institute of Electronics Engineers of Korea 1835 (2015), 6, 1832
21832
Backward Graph Construction and Lowering in DL Compiler for Model Training on AI Accelerators
H Kwon, Y Kwon, J Han
2022 19th International SoC Design Conference (ISOCC), 91-92, 2022
12022
M3FPU: Multiformat Matrix Multiplication FPU Architectures for Neural Network Computations
W Jeon, YCP Cho, HM Kim, H Kim, J Chung, J Kim, M Lee, CG Lyuh, ...
2022 IEEE 4th International Conference on Artificial Intelligence Circuits …, 2022
12022
2.5 D Large-Scale Interposer Bonding Process Verification using Daisy-Chain for PIM Heterogeneous Integration Platform
S Park, YG Kim, YD Jeon, MH Cho, J Han, Y Kwon
2023 International Conference on Electronics, Information, and Communication …, 2023
2023
AIWareK: Compiling PyTorch Model for AI Processor Using MLIR Framework
H Kwon, HM Kim, CG Lyuh, JK Kim, J Han, Y Kwon
2022 IEEE 4th International Conference on Artificial Intelligence Circuits …, 2022
2022
ArtBrain-K: AI Processor based-on 5-PetaFLOPS AI Server System
J Han, CG Lyuh, K Shin, HM Kim, H Kwon, J Chung, CP Cho, J Kim, J Suk, ...
2022 IEEE 4th International Conference on Artificial Intelligence Circuits …, 2022
2022
32TFLOPS function-safe programmable many-core AI processor
J Han
한국과학기술원, 2020
2020
The system can't perform the operation now. Try again later.
Articles 1–20